Home ai Eliyan Secures $60 Million Funding to Advance Chiplet Interconnects Accelerating AI Chips

Eliyan Secures $60 Million Funding to Advance Chiplet Interconnects Accelerating AI Chips

Eliyan, a company focused on chiplet interconnect technology, has recently secured $60 million in funding to advance its innovative solution for accelerating AI chips. The funding round was led by Samsung Catalyst Fund and Tiger Global Management, with participation from existing investors such as Intel Capital, SK Hynix, Cleveland Avenue, and Mesh Ventures.

The demand for AI chips is rapidly increasing, and Eliyan’s chiplet interconnect technology aims to address the challenges associated with the development of generative AI chips. Industry forecasters predict a substantial growth in the high-bandwidth memory (HBM) sector, with an estimated 331% increase this year and 124% increase by 2025, according to market researcher Arete Research.

Eliyan’s chiplet interconnect technology, known as NuLink PHY, offers significant performance improvements and power efficiency compared to other solutions. It achieves up to four times the performance and consumes half the power, making it an attractive option for chip makers in the AI industry.

The NuLink PHY is compatible with advanced or standard packaging material and addresses memory and IO wall constraints. It enables chip makers to connect multiple chiplets on the same device, allowing for improved performance and power efficiency.

In addition to chiplet interconnects, Eliyan also addresses the challenge of memory capacity and bandwidth in AI chips with its Universal Memory Interface (UMI). This bi-directional interconnect method aims to overcome the “memory wall” issue faced by large multi-die designs. UMI provides a bandwidth-efficient connection to memory and can be implemented in both standard organic substrates and advanced packaging.

Eliyan’s recent funding will enable the company to continue its focus on designing and manufacturing advanced AI chips using multi-die architectures. The company’s chiplet interconnect technology has already achieved commercial readiness, with tape outs in the most advanced processes. It offers high bandwidth, low latency, and low power capabilities, addressing critical challenges in the industry.

The success of Eliyan’s funding round reflects confidence in the company’s approach to chiplet connectivity technology and its potential to revolutionize chiplet-based systems. With the increasing connectivity needs driven by AI and the shift towards multi-die implementation in the semiconductor industry, Eliyan is poised to play a significant role in the chiplet revolution.

Overall, Eliyan’s chiplet interconnect technology holds great promise for the AI industry, offering improved performance, power efficiency, and memory capacity. With its recent funding, the company is well-positioned to continue its advancements and drive innovation in the field of AI chips.

Exit mobile version